Abstract: Photolithography is the most complicated, accurate, expensive process in the manufacture of integrated circuits. The lithography machine is one of the most critical equipment in photolithographic process, which is used to duplicate the circuit construction onto the wafer. DUVL is the dominant photolithography technology at present for technology node among 714nm, while EUVL has been applied in the manufacture of semiconductor devices for the technology node beyond 7nm. The main components of EUVL are light source, objective lens system and countertop. This paper will introduce the function, main components, exposure method, light source and the future development of lithographic technology.
DUVL and EUVL are two main types of lithography technology. DUVL includes the immersion type DUVL and the dry type DUVL. The immersion DUVL uses ArF as its light source, whose exposure wavelength is 134nm. And its corresponding NA is 1.35. The most advanced immersion DUVL can be used in 7nm technology mode along with the innovation of lithographic methods. The space between the lens and wafer is immersed in liquid. The reflection index of liquid is larger than 1, so the actual wavelength of laser will reduce significantly. Purified water is most commonly used, with reflection index of 1.44. ASML produced TWINSCANNXT:2000i in 2018, which is the latest generation of immersion DUVL. The wavelength of its light source is 193nm, which improve its resolution ratio to 38nm and reduce the line width to 7~5nm. It can be used to produce 300 mm wafer. Overlay accuracy is the registration accuracy of patterns between two lithographic process, which is based on Pauta Criterion (3 σ criterion) and influences the yield of the products The Overlay accuracy of TWINSCANNXT: 2000i is 1.9nm. It can produce 275 pieces of wafer per hour. The dry type DUVL also uses ArF as its lights source, which wavelength is limited to 193nm. And its NA is 0.93. TWiNSCANNXT: 1460K is the latest generation of dry DUVL, which is used in basic end of semiconductor market in 65nm technology mode to produce 300 mm wafer, with 205 WPH productivity.
The exposure tools of EUVL now have better alignment accuracy, better optics, and 40–55 W EUV inband light at the IF position. The EUVL mask significantly improved the defect level with an advanced inspection tool. It is generally expected that volume production will soon come despite several delays in its adoption. Using EUV cuts down the expenses in scaling for chipmakers and allows the semiconductor industry to continue its pursuit of Moore’s Law. As the size of the features to be printed varies depending on the layer, different types of lithography technologies and tools will be used for different layers. Nowadays, many semiconductor foundries choose to combine EUV systems and DUV systems in their manufacturing, along with continuous advancements in both technologies. Generally, the EUV systems are used to print the most intricate layers on a chip, while the rest of the layers will be printed using various DUV systems.
The wavelength of EUVL is only 13.5nm, and its NA is 0.33. EUVL does not need multiple exposure, and it can achieve elaborate patterns by only once exposure. EUVL has obvious advantages in production period, complexity of optical proximity effect correction, process control and yield. It can reduce lithographic steps in 5nm technology mode. ASML produced TWINSCANNXT:3400B in 2015, which combines high efficiency, high resolution ratio and high overlay accuracy. It supports 7nm and 5nm technology mode. Its productivity is larger than or equal to 125 wafers per hour at a dose of 20mJ/cm2. And the TWINSCAN NXE:3400C produced in 2019 is the successor of the NXE:3400B, which productivity is larger than or equal to 170 wafers per hour at a dose of 20mJ/cm2 .
Fig.1 The schematic diagram of ASML Twinscan
3. Exposure Method
In contact printing method, compact contact is achieved between EUV mask and resist through vacuum control, which will lead to pollution, abrasion, defect accumulation and short lifetime of EUV mask. The exposure light source is i-line or g-line.
In projection printing method, EUV systems use optical system to guide the EUV light from EUV mask to the wafer, usually shrinking the reticle pattern by a factor of four. Projection printing method causes higher resolution and reduces defect accumulation, but the dimension of IC layout is subject to the dimension of light source and optical lens imaging. Scanning projection printing is proposed in the late 1970s and early 1980s. The wafer remains static during projection and EUV system moves the mask to achieve exposure in different area. Stepping-repeating projection printing is proposed in the late 1980s and early 1990s. With part of the pattern is encoded in the incident light through a photomask, the system’s optics focus the pattern onto a photosensitive silicon wafer. After the pattern is printed, the system moves the wafer slightly and makes another copy on the wafer. This process is repeated until one layer of the wafer is completed. To make an entire microchip, this process will be repeated for hundreds of times, printing layers on top of layers. Scanning-stepping projection printing method is the current dominant exposure method. The reticle makes scanning movements through a narrow slit of light, exposing only a small part of the pattern at a time. Meanwhile, the wafer makes stepping and scanning movements in the opposite direction to capture the whole pattern. The motion of the reticle and wafer must be perfectly synchronized without causing a single vibration. The reticle must move much farther and faster because the reticle pattern is larger than the pattern on the wafer.
EUV systems use diffraction-based optical measurement or e-beam inspection to examine the quality of the printed features on a chip. Diffraction-based optical measurement collects the scattered light with a highresolution digital camera to examine how light reflects from the wafer, which can quickly determine how well the prediction matches reality and thus how well the pattern of lines has been printed. E-beam inspection observes how electrons scatter when they come into contact with the wafer, which is often applied to locate and analyze individual chip defects. The feedback data and a complex set of software algorithms help chipmakers to optimize their manufacturing process.
4. The Development of Light Sources
Original lithography used visible g-line (436nm) and ultraviolet i-line (365nm) lights produced by mercury arc lamps. Later, deep ultraviolet 248nm KrF and 193nm ArF excimer lasers were used for better lithographic resolution. Compared with 193nm wavelength, shorter wavelength lithography, known as next-generation lithography (NGL), was proposed in the 1980s using 157nm wavelength. After mid-1990s, DUVL became the most dominating lithography technology in semiconductor industry. Since proposed in 1998, EUVL has been intensively studied. EUVL is expected to be the most promising lithography in the 21th century because it can produce 13nm line/space half-pitch resolution with approximately 3-4nm line width roughness. The productivity of NXE:3400B is larger than or equal to 125 wafers per hour at a dose of 20mJ/cm2 . The output power at intermediate focus must be over 205 W. Productivity and output power of EUVL both have much room for improvement, so it is important to improve the conversion efficiency of the energy of incident laser. Conversion efficiency can be improved by optimizing light source and adopting dual pulse scheme. The wavelength, pulse width and change of beam focus of laser-produced plasma (LPP) source all have an influence on EUV-CE. CO2 laser emitting dissipation region is close to EUV emitting region, which is helpful for laser to transfer energy to plasma that emits EUV light. Using CO2 laser to fire target material also generates less fragment and receives purer spectrum. In order to achieve the best conversion efficiency, spot size of laser on target material needs adjustment as well. Dual-pulse LPP source is a new approach to generate EUV light which increases the utilization ratio of impulse and EUV-CE. Molten tin droplets of around 25 microns in diameter are ejected from a generator at 70 meters per second. The fast-moving droplets are first hit by a low-intensity Nd:YAG laser pulse that flattens them into a pancake shape. Then a more powerful CO2 laser pulse vaporizes the flattened droplet to create a plasma that emits EUV light.[6] This process is repeated for 50,000 times per second to generate enough EUV light to manufacture microchips.
Resist advances in parallel with light source to matches its change in wavelength and categories. It is a critical challenge for EUVL resist to meet the requirements on resolution, LWR, and sensitivity. EUVL uses chemically amplified resist (CAR) due to the advantages of high sensitivity and resolution.But its LWR is relatively high, which becomes a significant issue. The power limit of the EUV source necessitates a low exposure dose. Resist resolution depends on pattern collapse. When the aspect ratio is higher than “critical aspect ratio,” patterns will begin to collapse. EUV absorption also emphasize the use of thin resist. For these reasons, current EUV resists have bilayer structure or sensitive layer combined with hard mask.
5. Conclusion
In this article, the main photolithographic technologies and lithographic machines have been reviewed. The exposure tools, light source, reticle, optics column, immersion system, wafer stage, mechanical and mechatronic system consists of a typical lithographic machine. While the development of resist is highly dependent on the development of light source. To increase the source power and lifetime of EUV source and the sensitivity of resist correspondingly is technologically imported and critical. As EUV light will be absorbed by most materials including EUV mask, to fabricate defectfree mask with complicated multilayer, capping layer, buffer and absorber will be another critical challenge. China has already produced a ArF lithography with 90nm technology node, dual-stage machine system and also announced a national specialized project to develop ‘highNA immersion optical system’. In conclusion, lithography technologies, especially complex and sophisticated as EUVL, have contributed and will continue to contributed to the continuity of Moore’s Law.
上一篇: 基于氢氧化钾各向异性刻蚀的高固硅微针制备
下一篇: 超声波水流清洗的最佳喷射距离